سفارش تبلیغ
صبا ویژن

معرفی زبان های توصیف سخت افزار

معرفی زبان های توصیف سخت افزار

زبان‌های توصیف سخت‌افزار یکی از مهمترین ابزار‌های مدرن طراحی سخت‌افزار‌ها می‌باشد که کاربرد آنها در صنعت رو به افزایش ‌است به طوری که امروزه عضو جدا نشدنی فرایند طراحی تا پیاده سازی سخت‌افزار‌های مدرن به شمار می‌روند. با توجه به اینکه زبان‌های توصیف سخت‌افزار یکی از مهمترین ابزار‌های توسعه جنبش سخت‌افزار آزاد به شمار می‌روند، در این مقاله به طور مفصل آنها را معرفی و مقایسه کرده‌ایم، در پایان نیز تعدادی خودآموز و مرجع قابل دانلود جهت فراگیری این زبان‌ها در اختیار بازدیدکنندگان قرار گرفته است.

تکامل طراحی دیجیتال به کمک کامپیوتر

 

طراحی مدارات دیجیتال از 25 سال گذشته تا کنون بسیار متحول گشته است، مدارهای دیجیتال ابتدایی که به سختی‌ میتوان نام سخت‌افزار را بر روی آنها گذاشت، با لامپ‌های خلا و تعداد اندکی ترانزیستور ساخته می‌شدند.

 

با ظهور تکنولوژی مدار مجتمع، امکان پیاده‌سازی مدارات دیجیتال بر روی یک تراشه فراهم گردید. اولین نسل مدارات‌مجتع یا IC ها، SSI سر نام Small Scale Integration نام دارد که در این نسل تراشه‌ها با تعداد بسیار اندکی ترانزیستور و گیت‌های منطقی ساخته می‌شدند، پس از آن حجم مجتمع سازی در نسل‌های MSI سر نام Medium Scale Integration و LSI سر نام Large Scale Integration به سرعت گسترش یافت و به طوری که امکان مجتمع سازی هزاران گیت منطقی، در داخل یک تراشه برای طراحان سخت‌افزار فراهم آمده بود.

در این مرحله روند طراحی بسیار پیچیده و دشوار گردیده بود و طراحان نیاز داشتند تا بخشی از مراحل طراحی را به کامپیوتر بسپارند. به کمک ابزارهای طراحی دیجتال کامپیوتری یا CAD Toolها طراحان توانستند کامپیوتر را در فرایند طراحی اجزای خود سهیم سازند.

HLD - زبان توصیف سخت‌افزار

نمایی از یک طراحی بسیار پیچیده

با پیشرفت نسل VLSI سر نام Very Large Scale Integration امکان طراحی مدارات مجتمع با میلیون‌ها ترانزیستور فراهم گشته است(مانند تصویر بالا). به دلیل پیچیدگی بسیار زیاد این مدارات ،طراحی و ارزیابی عملکرد آنها به روش‌های سنتی دیگر میسر نیست، به همین خاطر ایده‌ای جهت توصیف طرح به یک زبان قابل فهم برای کامپیوتر مطرح گردید تا زمینه حضور کامپیوتر در پروسه طراحی یک مدار VLSI گسترده‌تر گشته و مسائل طراحی و ارزیابی در پروسه طراحی یک سخت‌افزار جدید، با الگوریتم‌های از پیش تعریف شده، پردازش شوند.

زبان توصیف سخت‌افزار چیست ؟

 برای خواندن ادامه مطلب اینجا کلیک کنید...

کلمات کلیدی : سخت‏افزار، زبان توسیف سخت‏افزار، HDL، VHDL، Verilog